File verilator.changes of Package verilator

-------------------------------------------------------------------
Sat Feb 17 11:26:28 UTC 2024 - Ilya Stolyarov <ila.embsys@gmail.com>

- Add service

-------------------------------------------------------------------
Tue Feb  7 17:39:16 UTC 2023 - Ilya Stolyarov <ila.embsys@gmail.com>

- Update to 5.006. Changes since 5.002:

  * Support clocking blocks
  * Support packed structs
  * Support Windows-native builds using cmake
  * Support p format for UnpackArray
  * Support property calls without parenthesis
  * Support import/export lists in modport
  * Support class queue equality (#3895)
  * Add IMPLICITSTATIC warning when a ftask/function is implicitly static
  * Add VL_VALUE_STRING_MAX_WORDS override
  * Optimize expansion of extend operators
  * Internal multithreading tests
  * Fix VPI one-time timed callbacks
  * Fix initiation of function variables
  * Fix to zero possibly uninitialized bits in replications
  * Fix crash in DFT due to width use after free
  * Fix signed/unsigned comparison compile warning
  * Fix OS-X weak symbols with -U linker flag
  * Fix wrong bit op tree optimization
  * Fix self references when param class instantiated
  * Fix memory leak in V3Sched, etc
  * Fix compatibility with musl libc / Alpine Linux
  * Fix empty case items crash
  * Fix VL_CPU_RELAX on MIPS/Armel/s390/spar
  * Fix module parameter name collision
  * Fix unpacked array expansion
  * Fix signed/unsigned parameter types
  * Fix chain call of abstract class constructor
  * Fix to use same std in Verilator and Verilated compile
  * Fix foreach unnamedblk duplicate error
  * Fix elaboration of member selected classes
  * Fix mismatched widths in DFG
  * Support named properties
  * Add ENUMVALUE warning when value misused for enum
  * Deprecate --no-threads; use --threads 1 for single threaded
  * Support std::semaphore and typed std::mailbox
  * Support 'with' in unique, unique_index, min, max in queues
  * Support events in VCD/FST traces
  * Support foreach loops on strings
  * Support member selects in with clauses
  * Support super.new calls
  * Support randcase.
  * Support pre_randomize and post_randomize.
  * Support $timeunit and $timeprecision.
  * Support assignment expressions.
  * Change ENDLABEL from warning into an error.
  * Internal AST improvements, also affect XML format
  * Deprecate verilated_fst_sc.cpp and verilated_vcd_sc.cpp.
  * Disable stack size limit
  * Add error when use --exe with --lib-create
  * Fix jump handling in do while loops
  * Fix 'with' clause handling in functions
  * Fix CONTEXT compile error on MingW
  * Fix MSVC compiler errors
  * Fix CASEINCOMPLETE when covers all enum values
  * Fix return type of $countbits functions to int
  * Fix timing control in while-break loops
  * Fix return in constructors
  * Fix missing UNUSED warnings with --coverage
  * Fix tracing parameters overridden with -G
  * Fix folding of LogAnd with non-bool operands
  * Fix DFG optimization issues
  * Fix pre/postincrement operations
  * Fix cross-compile for MingW, Arm and RISC-V
  * Fix $unit as base package for other packages
  * Fix make jobserver with submakes
  * Fix to escape VERILATOR_ROOT file paths
  * Fix empty string literals converting to string types
  * Fix to remove $date from .vcd files
  * Fix missing user objects in --lib-create mode
  * Fix non-blocking assignments in forks
  * Fix forks without any delayed statements
  * Fix internal error in bit op tree optimization
  * Fix lint_off EOFNEWLINE in .vlt files
  * Fix wait 0
  * Fix comparing ranged slices of unpacked arrays
  * Support the Active and NBA scheduling regions as defined by the SystemVerilog standard (IEEE 1800-2017 chapter 4).
    This means all generated clocks are now simulated correctly
  * Support timing controls (delays, event controls in any location, wait statements) and forks.
    This may require adding --timing or --no-timing. See docs for details.
  * Introduce a new combinational logic optimizer (DFG), that can yield significant performance improvements on some designs.
  * Add --binary option as alias of --main --exe --build --timing.
    For designs where C++ was only used to make a simple no-I/O testbench, we recommend abandoning that C++,
    and instead letting Verilator build it with --binary (or --main).
  * Split UNUSED warning into genvar, param, and signal warnings
  * Support standalone 'this' in classes
  * Support tristate select/extend
  * Support linting for top module interfaces
  * Support virtual interfaces
  * Support class type params without defaults
  * Support empty generate_regions
  * Support access to constructs inside type parameters
  * Add --dump-tree-dot to enable dumping Ast Tree .dot files
  * Add --get-supported to determine what features are in Verilator.
  * Add error on real edge event control.
  * Fix false LATCH warning on 'unique if'
  * Fix cell assigning integer array parameters
  * Fix LSB error on --hierarchical submodules
  * Fix $display of fixed-width numbers
  * Fix foreach and pre/post increment in functions
  * Fix linker errors in user-facing timing functions
  * Fix null access on optimized-out fork statements
  * Fix VPI inline module naming mismatch
  * Fix deadlock in timeprecision when using SystemC
  * Fix width mismatch on inside operator

-------------------------------------------------------------------
Sat Oct 29 13:07:54 UTC 2022 - Dirk Müller <dmueller@suse.com>

- update to 4.228:
  * Support some IEEE signal strengths
  * Add --main to generate main() C++
  * Add --build-jobs, and rework arguments for -j
  * Rename --bin to --build-dep-bin
  * Rename debug flags --dumpi-tree, --dumpi-graph, etc.
  * Fix thread saftey in SystemC VL_ASSIGN_SBW/WSB
  * Fix crash in gate optimization of circular logic
  * Fix arguments in non-static method call
  * Fix default --mod-prefix when --prefix is repeated
  * Fix calling trace() after open() segfault
  * Fix typedef'ed class conversion to boolean
  * Fix Verilation speed when disabled warnings
  * Add --future0 and --future1 options
  * Support class parameters
  * Support wildcard index associative arrays
  * Support negated properties
  * Support $test$plusargs(expr)
  * Rename trace rolloverSize()
  * Improve Verilation speed with --threads on large designs.
  * Improve Verilation memory by reducing V3Number
  * Fix struct pattern assignment
  * Fix public combo propagation issues
  * Fix incorrect tristate logic (#3399)
  * Fix incorrect bit op tree optimization
  * Fix bisonpre for MSYS2
  * Fix max memory usage
  * Fix empty string arguments to display
  * Fix table misoptimizing away display
  * Fix unique_ptr memory header for MinGW64
  * Fix $dump systemtask with --output-split-cfuncs
  * Fix wrong bit op tree optimization
  * Fix nested default assignment for struct pattern
  * Fix sformat string incorrectly cleared
  * Fix segfault exporting non-existant package
  * Fix void-cast queue pop_front or pop_back
  * Fix case statement comparing string literal
  * Fix === with some tristate constants
  * Fix converting subclasses to string
  * Fix --hierarchical with order-based pin connections
  * VCD tracing is now parallelized with --threads
  * Add -f<optimization> options to replace -O<letter> options
  * Changed --no-merge-const-pool to -fno-merge-const-pool
  * Changed --no-decoration to remove output whitespace
  * Support compile time trace signal selection with tracing_on/off
  * Support non-ANSI interface port declarations
  * Support concat assignment to packed array
  * Improve conditional merging optimization
  * Define VM_TRACE_VCD when tracing in VCD format.
  * Add assert when VerilatedContext is mis-deleted
  * Internal prep work towards timing control.
  * Fix hang with large case statement optimization
  * Fix UNOPTFLAT warning from initial static var
  * Fix compile error when enable VL_LEAK_CHECKS
  * Fix cmake rules to support higher-level targets
  * Fix BLKANDNBLK on $readmem/$writemem
  * Fix 'with' operator with type casting
  * Fix incorrect conditional merging
  * Fix passing VL_TRACE_FST_WRITER_THREAD in CMake build.
  * Fix compile error under strict C++11 mode
  * Fix public unpacked input ports [Todd Strader]

-------------------------------------------------------------------
Tue Jun  7 22:22:15 UTC 2022 - Stefan Brüns <stefan.bruens@rwth-aachen.de>

- Update to 4.222. For a list of changes, see included Changes or
  https://github.com/verilator/verilator/blob/v4.222/Changes
- See https://verilator.org/guide/latest/ for documentation
- Remove obsolete verilator-rpmlintrc

-------------------------------------------------------------------
Tue Jun  1 11:33:05 UTC 2021 - Christophe Giboudeaux <christophe@krop.fr>

- Update to 4.202. Changes since 4.200:
  * Documentation has been rewritten into a book format.
  * Verilated signals now use VlWide and VlPacked in place of C
    arrays.
  * Add an URL on warnings to point to the manual's description.
  * Add EOFNEWLINE warning when missing a newline at EOF.
  * Changed TIMESCALEMOD from error into a warning.
  * Mark --no-relative-cfuncs as scheduled for deprecation.
  * Add --coverage-max-width.
  * Add VerilatedCovContext::forcePerInstance.
  * Add FST SystemC tracing.
  * Add PINNOTFOUND warning in place of error.
  * Support overlaps in priority case statements.
  * Support for null ports.
  * Fix class unpacked-array compile error.
  * Fix scope types in FST and VCD traces.
  * Fix exceeding command-line ar limit.
  * Fix false $dumpfile warning on model save.
  * Fix --timescale-override not suppressing TIMESCALEMOD.
  * Fix false TIMESCALEMOD on generate-ignored instances.
  * Fix --output-split with class extends.
  * Fix false WIDTHCONCAT on casted constant.
  * Fix tracing of long hashed names.
  * Fix --public-flat-rw / DPI issue.
  * Fix interface localparam access.
  * Fix Cygwin example compile issues.
  * Fix select of with index variable.
  * Fix cmake version number to be numeric.
  * Fix MinGW not supportting 'localtime_r'.
  * Fix cast from packed, typedef'ed interface signal.
  * Fix VPI package reported as vpiModule.
  * Fix dumping waveforms to multiple FST files.
  * Fix assertion failure in bitOpTree.
  * Fix V3Premit infinite loop on always read-and-write.
  * Fix VPI packed vectors.
  * Fix VPI public interface parameters.
  * Check the Changes file for older changes

-------------------------------------------------------------------
Sat Jan 23 00:55:16 UTC 2021 - Dirk Müller <dmueller@suse.com>

- update to 4.108:
  * Many VPI changes for IEEE compatibility, which may alter
    behavior from previous releases.
  * Support randomize() class method and rand
  * Support $cast and new CASTCONST warning.
  * Add --top option as alias of --top-module.
  * Add LATCH and NOLATCH warnings
  * Remove Unix::Processors internal test dependency.
  * Report UNUSED on parameters, localparam and genvars
  * Add error on real to non-real output pins
  * Support package imports before parameters in interfaces
  * Support --sanitize in internal tests
  * Fix passing parameter type instantiations by position number.
  * Fix DPI open array handling issues.
  * Fix error when dotted refers to missing module
  * Fix little endian packed array counting
  * Fix showing reference locations for BLKANDNBLK
  * Fix genblk naming to match IEEE
  * Fix VPI memory word indexing
  * Fix vpiLeftRange on little-endian memories
  * Fix VPI module tree
  * Fix vpi_release_handle to be called implicitly per IEEE
  * Fix to allow inheriting 'VerilatedVcdFile' class.
  * Fix $urandom_range maximum value
  * Fix to emit timescale in hierarchical blocks
  * Fix to ignore coverage on real ports

-------------------------------------------------------------------
Sat Jan  2 03:38:11 UTC 2021 - Stefan Brüns <stefan.bruens@rwth-aachen.de>

- Update to version 4.106 (see included Changes file for details)
- Remove add-shebangs.patch, just mangle she-bang with sed

-------------------------------------------------------------------
Tue Feb 12 08:06:17 UTC 2019 - John Vandenberg <jayvdb@gmail.com>

- Update to version 4.010 (see included Changes file for details)
- Add add-shebangs.patch to add shebangs to executable scripts
- Move development files into devel subpackage

-------------------------------------------------------------------
Thu Dec  6 22:15:44 UTC 2018 - Stefan Brüns <stefan.bruens@rwth-aachen.de>

- Update to version 4.008 (see included Changes file for details)
- Remove SystemPerl dependency, no longer supported since version 3.910

-------------------------------------------------------------------
Sat Jul  7 15:50:17 CEST 2018 - david.lanzendoerfer@o2s.ch

- Update to version 3.904 (see Changes)

-------------------------------------------------------------------
Thu Feb 16 10:56:03 UTC 2017 - jengelh@inai.de

- Rewrite description to give more insight as to what it does.

-------------------------------------------------------------------
Sun Feb  5 12:25:55 UTC 2017 - aloisio@gmx.com

- Update to version 3.900 (see Changes)

-------------------------------------------------------------------
Sun Aug 17 17:07:23 UTC 2014 - dmitry_r@opensuse.org

- Initial package, version 3.862
- Add verilator-rpmlintrc to avoid warnings about source files for
  SystemC
openSUSE Build Service is sponsored by