USB analysis frontend for LUNA

Edit Package packetry
https://github.com/greatscottgadgets/packetry

USB analysis frontend for LUNA

Refresh
Refresh
Source Files
Filename Size Changed
_service 0000000625 625 Bytes
_servicedata 0000000247 247 Bytes
packetry-0~git20240516.tar.xz 0000296556 290 KB
packetry.changes 0000011567 11.3 KB
packetry.spec 0000001584 1.55 KB
vendor.tar.zst 0018483467 17.6 MB
Latest Revision
Martin Hauke's avatar Martin Hauke (mnhauke) committed (revision 4)
- Update to version 0~git20240516:
  * Update to new analyzer USB API.
  * Show devices that are not usable in the list, and keep track of why.
  * List devices as "Cynthion", disambiguating if necessary.
  * Update available speeds when device selection changes.
  * DeviceSelector::scan() no longer needs to return a boolean.
  * Remove superfluous closure.
  * Update documentation.
  * Rename LUNA backend to Cynthion.
  * Use Queue API to pipeline data transfers.
  * Initial port from rusb to nusb, using synchronous operations only.
  * Implement Iterator for LunaStream.
  * Allocate a new buffer for each bulk transfer.
  * Move work splitting capture data into packets to decoder thread.
  * Pass error handler to capture thread, instead of errors through channel.
Comments 0
openSUSE Build Service is sponsored by